diff options
Diffstat (limited to 'src/ipa/libipa/module.h')
-rw-r--r-- | src/ipa/libipa/module.h | 30 |
1 files changed, 30 insertions, 0 deletions
diff --git a/src/ipa/libipa/module.h b/src/ipa/libipa/module.h new file mode 100644 index 00000000..c4d77812 --- /dev/null +++ b/src/ipa/libipa/module.h @@ -0,0 +1,30 @@ +/* SPDX-License-Identifier: LGPL-2.1-or-later */ +/* + * Copyright (C) 2022, Ideas On Board + * + * module.h - IPA module + */ + +#pragma once + +namespace libcamera { + +namespace ipa { + +template<typename _Context, typename _FrameContext, typename _Config, + typename _Params, typename _Stats> +class Module +{ +public: + using Context = _Context; + using FrameContext = _FrameContext; + using Config = _Config; + using Params = _Params; + using Stats = _Stats; + + virtual ~Module() {} +}; + +} /* namespace ipa */ + +} /* namespace libcamera */ |